CURRICULUM BY DESIGN PROCESS

Hardware IP & Platform Development

Courses
Designing with the UltraScale and UltraScale+ Architectures
This course introduces the UltraScale™ and UltraScale+™ architectures to both new and experienced designers.The emphasis is on:Introducing CLB resources, clock management resources (MMCM and PLL), global and regional ...
Learn More >

Designing with Verilog
This course provides a thorough introduction to the Verilog language.The emphasis is on:Writing efficient hardware designsPerforming high-level HDL simulationsEmploying structural, register transfer level (RTL), and b...
Learn More >

Operating Systems and Hypervisors in Adaptive SoCs
This course provides software developers options and techniques for selecting and implementing various types of operating systems and hypervisors on AMD Zynq™ UltraScale+™ and Versal™ devices.The emphasis is on:Explor...
Learn More >

Zynq UltraScale+ MPSoC: Boot and Platform Management
This course provides software developers responsible for booting and platform management with an overview of the capabilities and support for the AMD Zynq™ UltraScale+™ MPSoC.The emphasis is on:Reviewing the catalog o...
Learn More >

Designing with Versal AI Engine: Graph Programming with AI Engine Kernels - 2
This content describes the system design flow and interfaces that can be used for data movements in the Versal™ AI Engine. It also demonstrates how to utilize the advanced MAC intrinsics, AI Engine library for faster ...
Learn More >

Designing FPGAs Using the Vivado Design Suite 1
This course offers introductory training on the AMD Vivado™ Design Suite and demonstrates the FPGA design flow for those uninitiated to FPGA design.The course provides experience with:Creating a Vivado Design Suite pr...
Learn More >

Designing with the Versal Adaptive SoC: Serial Transceivers
This course provides a system-level understanding of AMD Versal™ adaptive SoC serial transceivers. Transceiver architecture, IP generation, simulation, and implementation are covered. Additional information on PCB des...
Learn More >

Designing with the Versal Adaptive SoC: Hardware Debug
This course describes the tools and techniques available to debug AMD Versal™ devices. You will learn about features for debugging the fabric (programmable logic) and the hard blocks. The course also covers ChipScoPy ...
Learn More >

Designing FPGAs Using the Vivado Design Suite 3
Learn how to effectively employ timing closure techniques. This course includes: Demonstrating timing closure techniques such as baselining, pipelining, and synchronization circuits Showing optimum HDL cod...
Learn More >

Designing FPGAs Using the Vivado Design Suite 4
Learn how to use the advanced aspects of the Vivado™ Design Suite.The focus is on:Applying techniques to reduce delay and to improve clock skew and clock uncertaintyUtilizing floorplanning techniquesEmploying advanced...
Learn More >

Accelerating Applications with the Vitis Unified Software Environment
Learn how to develop, debug, and profile new or existing C/C++ and RTL applications in the Vitis™ unified software environment targeting both data center and embedded applications.The emphasis of this course is on: Bu...
Learn More >

Designing FPGAs Using the Vivado Design Suite 2
Learn how to build a more effective FPGA design:The focus is on:Using synchronous design techniquesUtilizing the Vivado™ IP integrator to create a sub-systemPerforming power analysis and optimization to improve the po...
Learn More >

Designing with the Versal Adaptive SoC: Memory Interfaces
This course provides a system-level understanding of AMD Versal™ adaptive SoC memory interfaces. Memory controller architecture, IP generation, simulation, and implementation are covered. Additional information on PCB...
Learn More >

Designing with the IP Integrator Tool
Explore the Vivado™ IP integrator tool and its features to gain the expertise needed to develop, implement, and debug different IP integrator block designs using the Vivado Design Suite.This course focuses on:Creating...
Learn More >

UltraFast Design Methodology
Learn how to improve design speed and reliability by using the UltraFast™ Design Methodology and the Vivado™ Design Suite.The focus is on:Optimizing system reset design and synchronization circuitsEmploying best pract...
Learn More >

Vitis Model Composer: A MATLAB and Simulink-based Product
This course provides experience with using the Vitis™ Model Composer tool for model-based designs.The course provides experience with:Creating a model-based design using HDL, HLS, and AI Engine library blocks along wi...
Learn More >

Zynq UltraScale+ MPSoC for the Hardware Designer
This course provides hardware designers with an overview of the capabilities and support for the AMD Zynq™ UltraScale+™ MPSoC family from a hardware architectural perspective.The emphasis is on:Identifying the key ele...
Learn More >

Designing with Versal AI Engine: Kernel Programming and Optimization - 3
This course covers the advanced features of the AMD Versal™ adaptive SoC AI Engine, including kernel function development, optimizing an AI Engine kernel program, using AI Engine APIs and filter intrinsics, and debugg...
Learn More >

Embedded Systems Software Design
This course introduces the concepts, tools, and techniques required for software design and development for the AMD Zynq™ System on a Chip (SoC), Zynq UltraScale+™ MPSoC, and Versal™ adaptive SoC architectures using t...
Learn More >

High-Level Synthesis with the Vitis Unified IDE (HLS)
This course provides a thorough introduction to high-level synthesis (HLS) using the AMD Vitis™ Unified IDE.The focus of this course is on:Converting C/C++ designs into RTL implementationsLearning the HLS component de...
Learn More >

Embedded Systems Design
Learn general embedded concepts, tools, and techniques using the AMD Vivado™ Design Suite and AMD Vitis™ Unified IDE.The emphasis is on: Designing, expanding, and modifying embedded systems utilizing the features and ...
Learn More >

Adaptive SoCs for System Architects
This course provides system architects with an overview of the capabilities and support for the AMD Zynq™ UltraScale+™ MPSoC and Versal™ adaptive SoC devices.The emphasis is on:Utilizing power management strategies ef...
Learn More >

Designing with the Versal Adaptive SoC: Architecture
Learn about the AMD Versal™ adaptive SoC architecture building blocks, such as the programmable logic, high-speed I/O, clocking, processing system, AI Engines, and the programmable network on chip (NoC). Also learn ho...
Learn More >

Designing with Versal AI Engine: Graph Programming with AI Engine Kernels - 2
This course describes the system design flow and interfaces that can be used for data movement in the AMD Versal™ AI Engine. It demonstrates how to utilize AI Engine APIs and the AI Engine DSP library for faster devel...
Learn More >

Designing with the Versal Adaptive SoC: Quick Start
Explore the AMD Versal™ adaptive SoC heterogeneous architecture containing a programmable network on chip (NoC) and AI Engines and learn how to use different design tool flows targeting Versal devices. Gain knowledge ...
Learn More >

Designing with the Versal Adaptive SoC: Network on Chip
This course introduces the AMD Versal™ network on chip (NoC) to users familiar with other SoC architectures. Besides providing an overview of the major components in the Versal device, the course illustrates how the N...
Learn More >

Migrating from UltraScale+ Devices to Versal Adaptive SoCs
This course illustrates the different approaches for efficiently migrating existing designs to the AMD Versal™ adaptive SoC from AMD UltraScale+™ devices. The course also covers system design planning and partitioning...
Learn More >

Design Closure Techniques
Learn how to achieve design closure more efficiently and productively by using the three pillars of design closure (functional closure, timing closure, and power closure). Also learn how to solve functional behavior, ...
Learn More >

Designing with the Zynq UltraScale+ RFSoC
This course provides an overview of the hard block capabilities for the AMD Zynq™ UltraScale+™ RFSoC family with a special emphasis on the RF Data Converter and Soft-Decision FEC blocks.The focus is on:Describing the ...
Learn More >

DFX - Designing with Dynamic Function eXchange Using the Vivado Design Suite
Learn how to construct, implement, and download a Dynamic Function eXchange (DFX) design using the Vivado™ Design Suite. This course covers both the tool flow and mechanics of successfully creating a DFX design.The em...
Learn More >

Designing FPGAs Using the Vivado Design Suite 3 - Virtual Board
Learn how to effectively employ timing closure techniques.This course includes:Demonstrating timing closure techniques such as baselining, pipelining, and synchronization circuitsShowing optimum HDL coding techniques ...
Learn More >